# For complete documentation of this file, please see Geany's main documentation [styling] # foreground;background;bold;italic default=0x000000;0xffffff;false;false; comment=0x808080;0xffffff;false;false; number=0x007f00;0xffffff;false;false; word=0x00007f;0xffffff;true;false; string=0xff901e;0xffffff;false;false; preprocessor=0x007f7f;0xffffff;false;false; operator=0x301010;0xffffff;false;false; identifier=0x000000;0xffffff;false;false; date=0x1a6500;0xffffff;false;false; stringeol=0x000000;0xe0c0e0;false;false; word2=0x007f7f;0xffffff;true;false; word3=0x991111;0xffffff;true;false; word4=0x7979ff;0xffffff;true;false; constant=0x007f7f;0xffffff;false;false; # asm is still unused asm=0x105090;0xffffff;false;false; label=0x007f7f;0xffffff;false;false; error=0xd00000;0xffffff;false;false; hexnumber=0x007f00;0xffffff;false;false; binnumber=0x007f00;0xffffff;false;false; [keywords] # all items must be in one line keywords=alarm alias and argument break call catch clear close color const continue copy cr cursor data declare decr def fn delete el end enum eprint eq error exit false for free function getbyte getfile getline global gosub goto gotoxy if import include incr input join label let lineno local lookup makedir maxrandom memrewind ne nl on open option base compare socket network memstream memtype collapse international startpoint device parse framework vartype or pi poke pragme options ldflags compiler baconlib print proto pull push putbyte putline read readln receive record redim reglen relate rem rename repeat resize restore resume return retval rewind run scroll seed seek select send setenviron setserial signal sleep sort source split stop sub swap system textdomain trace trap true usec useh var version while with writeln then next wend repeat until step gt lt is ge le ne isnot array static type reset to file directory server memory library black red green yellow blue magenta cyan white normal intense inverse reset size on off forward back up down type assoc recursive format downto in from chunk elif else fi alias by reading writing appending readwrite device as up down offset whence start current case default imode omode cmode lmode speed other down monitor dotimes done save bsave append bappend # user definable keywords user1=abs acos address asc asin atn basename ceil changedir chop chr cmdline columns concat cos count curdir day dec deg dirname endfile equal err even exec exp extract fileexists filelen filetime filetype fill floor fork endfork fp getenviron getkey getpeer getx gety hex host hostname hour iif inbetween instr instrrev intl isfalse iskey istrue lcase left len log max maxnum memcheck memory memtell mid min minute mod month mypid nntl not now odd os peek pow rad random realpath reap regex replace reverse right rnd round search second sgn sin sizeof spc sqr str tab tan tell timer timevalue typeof ucase val wait week weekday year ror rol bit token load bload outbetween tally flatten insert user2=window mark spin button combo notebook stock progressbar msgdialog toggle hseparator filedialog check vseparator image radio frame clipboard entry edit hslider password list vslider text focus show grab unfocus hide set enable font get disable canvas pixel out draw line picture circle square hugoptions screensize display attach key sync callback mouse timeout callbackx init quit getproperty setproperty huglib register method table basexftdpi scaling noscaling widget show font gl_freeze hugversion resetkey triangle paint ink pen rotation clc waitkey getcolor backend window fulscreen arc polygon qbezier cbezier text getink flip grid scale move quit cls penup pendown penxy pentype turnright turnleft resetangle loadfont mouse width heigth refresh [settings] lexer_filetype=FreeBasic # the following characters are these which a "word" can contains, see documentation #wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789 # if only single comment char is supported like # in this file, leave comment_close blank comment_open=' comment_close= # this is an alternative way, so multiline comments are used #comment_open=/' #comment_close='/ # set to false if a comment character/string should start at column 0 of a line, true uses any # indentation of the line, e.g. setting to true causes the following on pressing CTRL+d #command_example(); # setting to false would generate this # command_example(); # This setting works only for single line comments comment_use_indent=true # context action command (please see Geany's main documentation for details) context_action_cmd= [build_settings] # %f will be replaced by the complete filename # %e will be replaced by the filename without extension # (use only one of it at one time) compiler=bacon %f run_cmd=./%e